jueves, 5 de septiembre de 2013

contador 0-9

OBJETIVOS:
- Implementar un circuito capaz de realizar un conteo de 0 a 9 ilustrado en un display de 7 segmentos
- Comprender el proceso digital que se debe cumplir para que sea posible la visualización de un conteo decimal en un display

ESQUEMA ELECTRICO:

ANÁLISIS:

Este circuito cuenta con un oscilador 555 que envía una señal de reloj al C.I 74-90 que se encarga de hacer un conteo binario de 0-9, y este, a su vez, le envía dicha señal de conteo a nuestro C.I 74-47 que es un decodificador que se encarga de transformar la señal binaria en un código de 7 segmentos que posteriormente será posible visualizar a través de nuestro display, mostrándonos un número decimal.

CONCLUSIONES:
-Se puede ver como se acoplan diferentes circuitos con diferentes funciones para la construcción de uno que permite al usuario observar el conteo numérico en un lenguaje familiar visualmente.
-Es un circuito importante ya que a través de modificaciones se pueden crear relojes, o sorteadores  o diferentes circuitos para entretenimiento o para prestar un servicio al usuario.

CONTADOR DE 0-99

OBJETIVOS:

  • Implementar un circuito electrónico que realice conteos desde el numero 0 hasta el numero 99
  • Profundizar en el uso del C.I. 7490 utilizado para el conteo decimal, mediante su uso en cascada.
ESQUEMA ELÉCTRICO:




CIRCUITO IMPLEMENTADO:


ANALISIS:

Para implementar el anterior circuito se uso como base un contador de 0-9 que cuenta con cuatro etapas
la primera etapa es la de reloj en la que se utiliza un montaje de 555 en modo astable para generar un tren de pulsos (estados de voltaje altos (5v) y bajos (0v)), este tren llega a la segunda etapa que es la del contador (C.I. 7490) que al recibir los estados altos en el pin 14 realiza el conteo, que se ve reflejado en las salidas donde hace el conteo decimal mediante el sistema binario, luego estas señales binarias llegan a la tercera etapa donde se encuentra un decodificador BCD (7447) que transforma la señal binaria a codigo de 7 segmentos, para que esta señal se muestre en forma del numero en un display de 7 segmentos.

Para convertirlo en un contador de 0-99 se implementaron las ultimas tres etapas del circuito anterior para el conteo de las decenas, pero en lugar de utilizarse en la primera etapa de reloj un C.I. 555 se uso la salida de mayor peso del contador de unidades, de tal manera que esta cambia a estado alto cuando hace el conteo del numero 8 y 9, y se pasa a estado bajo haciendo que el contador de decenas realice el conteo (cambio por el numero siguiente) en las decenas, y mediante el proceso de decodificacion se ve en el display
7-segmentos.

CONCLUSIONES:

  • se puede ver como se acoplan diferentes circuitos con diferentes funciones para la construcción de uno que permite al usuario observar el conteo numérico en un lenguaje familiar visualmente.
  • Es un circuito importante ya que a través de modificaciones se pueden crear relojes, o sorteadores  o diferentes circuitos para entretenimiento o para prestar un servicio al usuario.

jueves, 8 de agosto de 2013

CODIFICADOR DECIMAL-BINARIO

OBJETIVOS: -Implementar un circuito que codifique información dada en forma de números decimales emitiéndola en forma de números binarios
-comprender el funcionamiento y aplicación de los C.I codificadores 74-147 y 74-148 a través de la practica de su montaje

MARCO TEÓRICO:
-CODIFICADOR:
Es un dispositivo electrónico que nos permite, a través de procesos lógicos, interpretar una señal de entrada con un sistema numérico decimal y transformarla en una salida con un sistema numérico binario

-C.I 74-148:
Este circuito nos permite introducir una señal de entrada en forma de número octal (0-7) a través de un dipswich, en el cual cada interruptor representa un número específico, luego, el C.I mediante procesos lógicos va a transformar dicha señal de entrada en una señal de salida (que será negada por medio de una compuerta lógica NOT  C.I 74-04 ya que el codificador trabaja con lógica inversa,es decir, 0=1 y 1=0), representada en un sistema numérico binario (0-1) que va a ser visible por medio de un conjunto de leds.

DATASHEET:

En este esquema los pines representados con números representan las entradas octales y los representados con A0, A1 y A2 representan las salidas de nuestro codificador.




-CIRCUITO IMPLEMENTADO:





-CONCLUSIONES:
  -Debemos tener muy en cuenta la negación de la salida en este circuito ya que podrían ocasionarse confusiones a la hora de revisar el funcionamiento del circuito.
 -El uso de codificadores es muy importante en la electrónica digital y nos puede ser de mucha ayuda en casos de la vida real

martes, 6 de agosto de 2013

CONTADOR POR DÉCADAS 4017

OBJETIVOS:

  • Implementar un circuito electrónico que cuente en décadas binarias y al cual se le pueda variar la velocidad de conteo
  • utilizar el circuito integrado 4017
MARCO TEÓRICO:

C.I. 555

Es un circuito integrado temporizador que es capaz de crear pulsos de reloj mediante una conexión RC(resistencia condensador).


MODO ASTABLE


En este modo el 555 emitirá un tren de pulso alternando estados altos y bajos continuamente en periodos de tiempo que estarán determinados por la ecuación 0.693*C*(R1+2*R2) para el intervalo total de encendido y apagado en segundos.(En el contador se utilizara como reloj)


C.I. 4017

Este es un circuito integrado de 16 pines que se utiliza para contar por décadas binarias y crear secuencias de luces sincronizadas, la distribución de los pines es como se observa en el datasheet de al lado se utilizan el pin 8 y 16  para alimentación siendo 8 para negativo y 16 para positivo, desde el pin 2 hasta el once son las salidas aunque no están en orden como se observa en la imagen, el pin 12 llamado carry out se utiliza para montar el circuito en cascada con otros seria como otra salida, el pin 13 clock inhibit es utilizado para pausar la secuencia (este debe ir conectado a tierra y si recibe una señal positiva detiene el conteo y al volver a negativo lo pone en marcha de nuevo desde el mismo punto), el pin 14 clock es la entrada para un tren de pulsos para determinar la velocidad de la secuencia, el pin 15 reset sirve para limitar el numero de décadas del conteo ( normalmente se conecta a negativo pero si se quiere limitar el conteo el pin de la ultima década deseada y se conecta con esta).

ESQUEMA ELÉCTRICO


El esquema muestra el montage de un 555 en modo astabel (con potenciometro para variar la velociada) cuya salida va aun diodo led para visualizar la oscilación y tambien esta conectada al pin 14 del circuito 4017, donde envía un tren de pulsos de tal manera que cada vez que hay un estado alto el circuito 4017 realiza una progresión en sus salidas para hacer el conteo, y por ultimo se colocan unos leds a la salida para observar esta progresion.

CIRCUITO IMPLEMENTADO Y EN FUNCIONAMIENTO


CONCLUSIONES

  • El circuito 4017 necesita de un reloj externo preferiblemente un 555 en modo astable
  • El circuito 4017 atraves de una memoria va ejecutando la progresion cada vez que llega un pulso positivo al pin 14  




DECODIFICADOR BCD

OBJETIVOS:

  • Implementar un circuito que convierta los números binarios en una representación decimal a través de un display de 7 segmentos.
  • Comprender el funcionamiento de un display de 7 segmentos
  • Observar el funcionamiento de un decodificador y entender la importancia que tiene en la electrónica. 
MARCO TEÓRICO:

DECODIFICADOR

Es un dispositivo que a través de procesos lógicos convierte una señal binaria de entrada en una señal de salida que sea mas familiar para el usuario que el sistema binario.

C.I. 7447

      concepto-decodificador-7-segmentos
El circuito integrado 7447 es un circuito que decodifica señales binarias de 4 bits en unas lineas de salida que posterior mente pueden ser representadas por un display de 7 segmentos mostrando los dígitos decimales. A continuación se muestra el datasheet del C.I. 7447 donde se puede observar que los pines 7,1,2 y 6 funcionan como entradas de un numero binario, mientras los pines 9,10,11,12,13,14,15 pertenecen a las lineas de salida y a cada uno de estos pines le corresponde un segmento del display. También se encuentran los pines de alimentación 16+ y 8- y los pines de control.



DISPLAY 7 SEGMENTOS

Es un dispositivo que cuenta como su nombre lo dice con siete segmentos cada uno con un diodo led, los diodos led van unidos en un punto común que puede ser de cátodo o ánodo, cuando el display es de cátodo común los segmentos se prenderán con señales positivas y si es de ánodo común con señales negativas. Estos displays cuentan con diez pines 7 para los segmentos numéricos, uno para el punto y dos de alimentación, los pines se distribuyen como lo muestra el datasheet de abajo.





ESQUEMA ELÉCTRICO


Se muestra como se debe implementar un sistema de entradas por medio de las resistencias y el dipswich para que el usuario pueda controlar e introducir el numero binario que desee (si se quiere se puede agragar diodos led desde la intersección de el sistema de entrada al 7447, para visualizar la entrada). Se conecta el sistema de entradas al 7447 y este se conecta al display en orden adecuado para que se pueda observar el numero de manera decimal.

CIRCUITO IMPLEMENTADO 











CONCLUSIONES 
  • Los decodificadores son uno de los sistemas mas utilizados ya que permiten al usuario tener una forma fácil de observar y comprender datos
  • El circuito integrado 7447 es importante por que permite crear decodificadores sin tener que gastar mucho espacio o tiempo en programación e implementación ya que cuenta con un sistema fácil de implementar




lunes, 10 de junio de 2013

COMPARADOR DE NÚMEROS BINARIOS DE 4 BITS

OBJETIVOS:

  • Implementar un circuito que compare números binarios y señale si son iguales o cual de los dos es mayor
  • Hacer uso del C.I. 74-85 
  • Profundizar en el uso de sistemas binarios.
MARCO TEÓRICO:

CIRCUITO INTEGRADO 74-85

Es un circuito que compara dos entradas de datos de 4 bits y arroja tres posibles resultados que el dato 1 sea mayor al dato 2, que el dato 1 sea igual al dato 2 o que el dato 1 sea menor al dato 2
En el anterior datasheet se puede observar como el circuito integrado 7485 cuenta con una entrada de 4 bits Para la agrupación binaria de A (compuesta por los pines 15,13,12 y 11) y con otra entrada de 4 bits para la agrupación binaria de B (compuesta por los pines 1,14,11 y 9) y con otras tres entradas en caso de que se quiera generar un comparador mas amplio (de mas de 4 bits) que son los pines 2,3 y 4 , y posee sus tres posibles salidas los pines 5,6 y 7.

ESQUEMA ELÉCTRICO

CIRCUITO EN FUNCIONAMIENTO
CASO A=B
          1111=1111=010

Se puede observar en la imagen como el circuito esta implementado con una entrada de dipswich de 8 o mas y 8 diodos led 4 blancos que pertenecen a la agrupación de 4 bits de B y 4 leds amarillos que pertenecen  a la agrupación de 4 bits de A, ademas de los 3 diodo leds azules que se encuentran al lado derecho que son las salidas. El led de la izquierda  se encenderá cuando A>B, el de medio se encenderá como en este caso cuando A=B y por ultimo el led de la derecha se encenderá cuando A<B.

CASO A<B
                 1110<1111=001

CASO A>B
                           1111>1100=100

CONCLUSIONES 
  • El circuito 7485 nos permite realizar la comparación de dos señales digitales 
  • El circuito se puede ampliar al conectar varios en cascada 
  • Es un circuito de gran ayuda al momento de implementar circuitos mas grandes que necesiten de una condición.

Sumadora Digital Binaria

Objetivos:
-Lograr la implementación de un circuito capaz de realizar sumas en sistema binario
-Profundizar en los conocimientos de suma en sistema binario
-Adquirir nuevos conocimientos que nos ayuden a introducirnos cada vez más en el extenso campo de la electrónica digital

PASOS A REALIZAR:

1) Implementar un circuito electrónico con el DataSheet del C.I 74-83 que se muestra a continuación:




Donde: 
A4-A1 y B4-B1 son los dos números a 
sumar. Siendo A4 y B4 los bits más 
significativos, mientras que A1 y B1 son 
los menos significativos. 
C0 es el acarreo de entrada. 
S4-S1 son las salidas del circuito. 
C4 es el acarreo de salida. 
En conjunto, C4:S4:S3:S2:S1 forman el 
resultado de la operación. 

2) Realizar sumas binarias haciendo uso del circuito ya montado y verificar que el resultado sea correcto


DESARROLLO:
-En el circuito que se observará a continuación es importante tener en cuenta que los cuatro primeros leds son los bits de entrada A, los siguientes cuatro leds son los bits de entrada B, y, los últimos cinco leds son los bits de salida S, donde el primer led de la izquierda, en cada caso, es el de mayor peso y el último a la derecha, en cada caso, es el de menor peso


En este ejemplo se realiza la siguiente suma binaria:

          1111+1111=11110   -------> Valores en sistema binario
           (15)    (15)    (30)     -------> Valores en sistema decimal
      


En este ejemplo se realiza la siguiente suma binaria:

          0111+1110=10101   -------> Valores en sistema binario
            (7)    (14)    (21)     -------> Valores en sistema decimal



 En este ejemplo se realiza la siguiente suma binaria:

          1100+1100=11000   -------> Valores en sistema binario
           (12)    (12)    (24)     -------> Valores en sistema decimal
  
Al comparar las sumas hechas aritméticamente con las sumas realizadas digitalmente por el circuito electrónico se observa que concuerdan los resultados, lo cual nos indica que el circuito funciona correctamente

CONCLUSIONES:
-Al momento del montaje del circuito es importante tener en cuenta el valor de los bits y su pin correspondiente ya que si no se conectan en un orden adecuado se pueden generar confusiones
-Es importante mantener un orden adecuado en el montaje ya que si se presenta alguna falla, el descubrimiento de la misma será mucho más eficaz

domingo, 9 de junio de 2013

RESTADORA NÚMEROS BINARIOS

OBJETIVOS:  

  • Implementar un circuito electrónico que realice la operación aritmética de sustracción con números binarios.(utilizando el C.I. 74-83)
  • Comprender los conceptos de resta binaria, complemento A1 y complemento A2
  • Profundizar en los conocimientos de resta de números binarios mediante el uso de complemento A1 y complemento A2
MARCO TEÓRICO:

RESTA BINARIA POR COMPLEMENTO A1 Y A2

En este método para restar números binarios lo que se hace es que se cambian todos los bits del sustraendo (complemento A1) y se suman el minuendo y el sustraendo, luego al resultado se le suma un bit 1 (complemento A2) ;ahora se agrupa solo el numero de bits que poseía el minuendo así hallamos el resultado de la resta esto mismo es lo que vamos a realizar con la implementacion del circuito.

EJ:  1011011     91              =       1011011     Minuendo (A)    
      -0101110   -46                     +1010001     Sustraendo (B)   CA1 del sustraendo
       _______    __                      ________
       0101101    45                      10101100     Resultado de la suma A+CA1 de B 
                                                +           1    CA2  
                                                ________
                                                10101101    Resultado   bit sobrante

ESQUEMA ELÉCTRICO


C.I. 74-83

El circuito integrado 7483 implementa un sumador binario completo de 2 números de 4 
bits. Su configuración es la que se muestra en la figura 1. 

Donde: 
A4-A1 y B4-B1 son los dos números a 
sumar. Siendo A4 y B4 los bits más 
significativos, mientras que A1 y B1 son 
los menos significativos. 
C0 es el acarreo de entrada. 
S4-S1 son las salidas del circuito. 
C4 es el acarreo de salida. 
En conjunto, C4:S4:S3:S2:S1 forman el 
resultado de la operación. 

DATASHEET 74-83


IMPLEMENTACION DEL CIRCUITO EN PROTOBOARD


Descripción: al lado izquierdo del protoboard se observa el sistema de bits de entrada compuesto por un dipswich de 10 y 8 diodos led, 4 leds representan los bits del numero A (amarrillos) y los otros 4 leds representan los bits del numero B (rojos), al lado de este sistema se encuentra un C.I. 74-04 Para negar los bits de B y asi aplicar el complemento A1 a la agrupacion de bits B que representa el sustraendo, al lado se encuentra un C.I. 74-83 que es un integrado que suma la agrupacion de bits A con el CA1 de B, y luego aplica el complemento A2 al resultado de la suma, mediante el pin 13 que al estar conectado a vcc suma un bit 1 al resultado de la suma, para la restadora solo se utilizan las cuatro salidas y el pin 14 no se conecta para ignorar asi el bit sobrante.

EJEMPLOS EN FUNCIONAMIENTO 

EJEMPLO 1:      1011-0101=1011+1010+1=10110 
                            11   -  5    =                            6

EJEMPLO 2:  1110-0111=1110+1000+1=10111
                         14  -   7   =                            
  
EJEMPLO 3:  1110-1010=1110+0101+1=10100
                            14  -  10   =                             4

CONCLUSIONES: 
  • Mediante los usos de los complementos A1 y A2 se puede modificar un circuito sumador en un circuito restador 
  • Para aplicar un complemento A1 basta con usar una compuerta NOT en cada uno de los bits del numero
  • El pin 13 del C.I. 74-83 se utiliza como un acarreo de entrada para la aplicacion del complemento A2 



miércoles, 27 de marzo de 2013

MAPA DE KARNAUGH Y COMPUERTAS NAND Y XOR


MAPA DE KARNAUGHT

Es un diagrama utilizado para la simplificación de funciones algebraicas Booleanas. Los mapas de Karnaugh reducen la necesidad de hacer cálculos extensos para la simplificación de expresiones booleanas, aprovechando la capacidad del cerebro humano para el reconocimiento de patrones y otras formas de expresión analítica, permitiendo así identificar y eliminar condiciones muy inmensas.

Los Mapas de Karnaugh son una herramienta muy utilizada para la simplificación decircuitos lógicos.
Cuando se tiene una función lógica con su tabla de verdad y se desea implementar esa función de la manera más económica posible se utiliza este método.

COMPUERTAS NAND Y XOR

  • Compuerta NAND: esta compuerta se encarga de realizar una multiplicación logica y luego negar el resultado es conveniente ya que permite el ahorro de material ya que cumple la funcion que harían una AND con una NOT en la salida.  Al implementarla se utiliza un C.I. 7400










  • Compuerta XOR:  Esta compuerta realiza una suma especial lo que significa que siempre y cuando las dos entradas sean diferentes entre ellas la salida va a ser 1, mientras que si son iguales 00 o 11 la salida es 1. A B= X. A la hora de implementar se utiliza un C.I. 7486


SISTEMA DE SEGURIDAD DISEÑADO CON MAPA DE KARNAUGH

El siguiente sistema de seguridad servirá como ejemplo tanto para la utilización del mapa de karnaugh como para implementar y ver en funcionamiento las compuertas NAND y XOR.

Pasos:
  1. Lo primero es determinar la situación, para este ejemplo el sistema contara con cuatro entradas que servirán como las posibles combinaciones y tres salidas distintas. Las entradas se llamaran A, B, C y D; mientras que las salidas serán X, Y y Z. Existirán 3 combinaciones correctas que resultaran como un 1 en X y que abrirán la puerta, el resto de las combinaciones generaran un 1 pero en la salida Y que activara una chicharra que sera una alarma, y por ultimo se implementara la siguiente función  A B +   que sera la salida Z
  2. Ahora se asignan las 3 combinaciones correctas y se procede a crear una tabla de la verdad para el sistema de seguridad.  En esta tabla de la verdad se ve resaltadas las tres combinaciones ademas se puede observar como Y es la negación de X. También podemos ver el resultado de Z.

  3. A
    B
    C
    D

    X
    Y
    Z
    0
    0
    0
    0

    0
    1
    1
    0
    0
    0
    1

    0
    1
    1
    0
    0
    1
    0

    0
    1
    1
    0
    0
    1
    1

    1
    0
    1
    0
    1
    0
    0

    0
    1
    1
    0
    1
    0
    1

    0
    1
    1
    0
    1
    1
    0

    0
    1
    1
    0
    1
    1
    1

    1
    0
    1
    1
    0
    0
    0

    0
    1
    1
    1
    0
    0
    1

    0
    1
    1
    1
    0
    1
    0

    0
    1
    1
    1
    0
    1
    1

    0
    1
    1
    1
    1
    0
    0

    0
    1
    0
    1
    1
    0
    1

    1
    0
    0
    1
    1
    1
    0

    0
    1
    0
    1
    1
    1
    1

    0
    1
    0
    Ahora se procede a crear el mapa de karnaugh para lo que se toman las combinaciones que dan como resultado 1  en X para de esta manera crear la función de X (Fx) con el mapa de karnaugh y se introducen en el mapa, como el sistema posee cuatro entradas el cuadro contara con 16 casillas (halladas elevando el numero 2 por el numero de variables que en este caso es 4)  y las variables se distribuirán en pares  yo utilizare AB en la columna y CD en la fila.
    AB \CD
    00
    01
    11
    10
    00


    1

    01


    1

    11

    1


    10




  4. Ahora se procede a agrupar los unos que se encuentren adyacentes en grupos pares en este caso solo hay un grupo de dos unos y el otro de un solo 1.
  5. Luego se escribe La función teniendo en cuenta que 0= V̅ y 1=V siendo V la variable y que solo se escribe lo que tiene en común la agrupación de unos y teniendo en cuenta que una suma unira los diferentes grupos. La función seria  Fx=ABC̅D+ A̅CD. esta función también se puede expresar de una manera mas sencilla que ahorrará una compuerta esta seria escribirla Fx=D(ABC̅+A̅C) y Fy= ̅X̅ y Fz se mantiene
  6. Ahora se tiene que crear un esquema lógico que seria:
  7. y por ultimo se procede a montar el circuito electrónico que realice las funciones establecidas.

En la fotografía anterior se puede observar los componentes electrónicos con los que se implemento el sistema de alarma, Para administrar las entradas se utiliza un dipswich de 10 (puede ser de 5) y se tienen 4 salidas con leds y una que servirá como enter al administrar la alimentación de las compuertas. Ademas de esto para recrear la función planteada hay cinco compuertas encargadas del proceso, en orden de izquierda a derecha son Not, And, Or, Nand y Xor. las salidas están representadas por X= Led verde ( en la maqueta seria sustituido por un transistor y un motor) Y= Led rojo y chicharra  Z= Led blanco



En el vídeo anterior se puede observar el circuito en funcionamiento y como concuerda con la tabla de la verdad antes planteada.

CONCLUSION:


  • Tanto el mapa de karnaugh como las compuertas NAND y XOR nos permiten disminuir el tamaño del circuito y ahorrar costos en materiales, facilitando la creación de funciones lógicas  ademas se puede apreciar la aplicación de las compuertas en un sistema útil.